Portfolio Title Banner

Homepage Link
Introduction Link
Instructional Technology Link
Competencies Link
Artifacts Link
Professional Link
Works Cited Link
blue divider line

Domain of Utilization

Utilization is the process of using resources to ensure the adoption of new programs, or products. Designers must not assume that the users within and organization will automatically accept a good quality product. New products should meet the perceived needs of users in order to ensure adoption (Burkman, 1987). Instructional technologists become facilitators during the utilization of a project. They must first understand that the potential adopters will go through a decision-making process when accepting an innovation. This innovation-decision process occurs in five stages and is the process that happens over a period of time in order to ensure the adoption of a change or innovation ( Rogers, 1995).

The five stages are:

  1. Knowledge to potential adopters (This occurs when users gain understanding about the functions of the new product or program.)
  2. Persuasion of attitude (This occurs when the users begin forming their initial opinions about the new product or program.)
  3. Decision of activities (This occurs when users engage in enough activities to decide whether to adopt or reject the new product or program.)
  4. Implementing the program (This occurs when the new product or program is put to use.)
  5. Confirmation of the adoption decision (This occurs when the users reinforce the decisions to adopt or change the decision of whether to continue with the new product or program.)

The domain of utilization consists of the four sub-domains media utilization, diffusion of innovation, implementation, institutionalization, policies and regulations.

Media Utilization

Media utilization is the process in which an instructional designer takes existing resources and prepares them for effective learning. A resource analysis will determine what is available and what may be needed. The preparation of media may include computer resources or other multimedia components. The materials and equipment must be tested and backup procedures must be established and ready during use of the media. Follow up procedures are also needed to evaluate the effectiveness of learning. Instructional technologists must find out how learners and instructors performed using the chosen media and whether objectives were met.

 Diffusion of Innovation

Diffusion is the process by which an innovation is communicated to learners. The innovation is the idea, practice, or media that is new to an individual. The process of adopting new ideas effectively is the diffusion of innovation. The rate of adoption is based on how the individual perceives the innovation (Rogers and Scott, 1997). Instructional technologists may use Rogers’ Diffusion of Innovation Theory to ensure that learners with different knowledge and attitudes within a social system accept changes over time.

Everett Rogers (1995) developed the Diffusion of Innovation theory, which is commonly used by instructional technologists. It categorizes the learners as innovators, early adopters, early majority, late majority, and laggards. The categories assume that each adopter will take a different amount of time to accept changes due to their existing knowledge and attitudes about change. By categorizing the adopters, each group may be addressed at different times and with specific strategies. For example, innovators are most likely to accept change but are not the individuals that influence others to change. Instructional technologists commonly present changes to the early adopters (also known as the “opinion leaders”) because they are the individuals that others turn to for answers. Early majority tend to follow the early adopters once they see that the change is being used. Late majority will follow if they know the majority has accepted the change. Laggards are those individuals that won’t try to adopt a change unless it is proven to be a success.

 Implementation and Institutionalization

Implementation is the use of instructional strategies and materials in a real world environment (Seels & Richey, 1994). Its purpose is to be sure that specific procedures are being followed when changes occur within an organization. Using Keller’s ARCS (Attention, Relevance, Confidence, and Satisfaction) Model, the instructional technologist will address motivation of the adopters and therefore establish a smooth implementation process (Keller, 1987). One way to be sure of acceptance is to establish that adopters within the organization are highly motivated to accept the change. The purpose of the change must gain the adopters interest and address the adopters’ needs. To keep the interest of the individual, the change must be within the realm of understanding to the adopter and they must have the confidence that they can accept the change or complete any tasks that go along with it. In addition, the individuals must receive some type of satisfaction or reward from the change. This reward may be intrinsic or extrinsic, but it is important for an instructional designer to make it clear of what will be gained if the change is accepted.

Institutionalization is the continual use of instructional innovations (Seels & Richey, 1994). The purpose is to ensure a long-term integration of innovations into the macro level of the organization. Roger’s Diffusion of Innovation theory is used by instructional technologists for the process of long term adoption. It describes 5 attributes that affect the rate of adoption within an organization. The attributes are: relative advantage (Is the innovation better than the previously used one?), compatibility (How does the innovation address the organizations needs, values, and experiences?), complexity (How difficult is it to understand the innovation?), trialability (Can the innovation be tested before completely implemented?), observability (Are the results available to adopters? Can they see the impact?).

Policies and Regulations

Existing policies and regulations will affect changes within an organization. An instructional technologist must explore the existing policies and determine if new ones or revisions will be necessary. Any changes are necessary to be proactive and will help avoid further problems during the utilization of a project.

Return to Top

Home * Introduction * The Field of IT * Competencies * Artifacts * Professional * Works Cited * Site Map * GLOSSARY *

This site was designed and developed by Patricia M. Gonzalez-McQuiston © 2005. Last updated on 12-5-05

Watson School of Education Building Graphic UNCW LOGO AND SEAL GRAPHIC Banner Graphic of Patricia Gonzalez-McQuiston's Portfolio
Watson School of Education Building Graphic UNCW LOGO AND SEAL GRAPHIC Banner Graphic of Patricia Gonzalez-McQuiston's Portfolio