Printer Friendly Version

 

The Domain of Utilization

Media Utilization Diffusion of InnovationsImplementation and InstitutionalizationPolicies and Regulations

The domain of utilization includes the preparation and action of “using processes and resources for learning” (Seels & Richey, 1994, p. 46).  Its purpose is to bridge the gap between the instructional materials and the learner; to insure appropriate resources are implemented and utilized in order to achieve the learning objectives.  Personnel in the domain of utilization ensure the instructional material will be delivered as designed and the planned outcome can be successfully achieved.  The instructional technologist should make sure the instructor has the skills and knowledge necessary for delivering the instruction, the instructor’s manual is followed as designed and innovations that are new to the learner are planned and implemented prior to the beginning of the instruction. 

The domain of utilization consists of four areas of study:  (1) media utilization, (2) diffusion of innovations, (3) implementation and institutionalization, and (4) policies and regulations.

Back to the Top

1.  Media Utilization

Media utilization is the “systematic use of resources for learning” (Seels & Richey, 1994, p. 46).  Media to deliver the instructional message was determined during the development phase of the instructional design process.   Now it is time to implement or actually utilize those choices.  Instructional technologists working in the domain of utilization must ensure the equipment is in good working order, the appropriate policies and regulations are in place and followed and provide assistance and guidance to those interacting with the equipment.  As any computer system or on-line learning environment can suddenly fail, instructional technologists should plan alternative ways to appropriately delivery the instructional message.

Back to the Top

 
2.  Diffusion of Innovations

Diffusion of innovations is the “process of communicating through planned strategies for the purpose of gaining adoption” (Seels & Richey, 1994, p. 46) and ultimately bringing about successful implementation.  As instructional designers incorporate new ideas, technologies or different ways of doing things from the past into their design, it is important to plan and take into account that these new concepts or interventions may not have been fully adopted or accepted by all.  This may ultimately affect the success rate of an instructional project.  

This area of study was strongly influenced by the publication in 1962 of Everett M. Rogers’ Diffusion of Innovations.  Rogers developed an innovation adoption curve model which classifies adopters into five categories:

  1. Innovators – Venturesome; those with financial resources and technical knowledge
  2. Early adopters – Opinion leaders; serve as a role model for others
  3. Early majority – Deliberate; may deliberate some time before accepting the change
  4. Late majority – Skeptical; usually accept change due to pressure from peers
  5. Laggards – Traditional; are suspicious of change and new innovations

 

Figure 5:  Rogers Adoption/Innovation Curve
Adopted from: http://www.valuebasedmanagement.net/methods_rogers_innovation_adoption_curve.html

 

Instructional technologists, acting as change agents, must develop strategies to plan for the successful implementation of any new innovation.  In his book, A Change Agent’s Guide, Ronald Havelock identifies five factors affecting the installation of any innovation.  These five factors include:  (1) allowing time for individuals to become familiar and accept the innovation, (2) allowing time for groups within a system to become familiar and accepting of the innovation, (3) continuing to introduce the innovation until it becomes a regular and familiar part of the daily routine or system, (4) developing effective communication tools regarding the innovation to the appropriate audience and (5) being flexible and open to changes in the plan as needed (Havelock, 1995, p. 126).  He further proposed strategies for each factor to promote the successful diffusion of innovation.

Back to the Top

3.  Implementation and Institutionalization

Implementation is “using instructional materials or strategies in real settings,” while institutionalization is “the continuing, routine use of the instructional innovation in the structure and culture of the organization” (Seels & Richey, 1994, p. 47).  While both elements involve change with both the individual and organization, the goal of implementation is to ensure regular use by individuals whereas the goal of institutionalization is to make the innovation a part of the organization’s regular process and structure.  

Change is constant, and once an innovation has been successfully implemented into an environment or system, it is important to repeat and improve the processes with future change initiatives.  Involving additional people, maintaining awareness of changes within the political, economic or social environment, and being aware of the changing priorities within a system are but a few of the strategies that can be used to renew or continue the implementation of the change process (Havelock, 1995). 
.
Back to the Top

4.  Policies and Regulations

Policies and regulations are “rules and actions of society (or its surrogates) that affect the diffusion and use of Instructional Technology” (Seels & Richey, 1994, p. 47).  The instructional designer must take into consideration any policies or regulations within the organization that may have a direct impact on the smooth and successful implementation of any project.  Examples include copyright policies, standards for equipment and programs, and internet use policies. 

 

Back to the Top