Definition page Professional inforamtion page Definition page Domain  page Goals and competencies page Name - Helen Lipka Watson School of Education Logo Artifacts page Resume page Appendicies page Home page

Domain of Utilization
Design | Development | Utilization | Management | Evaluation

Utilization and/or implementation refers to the use of processes and resources for learning according to Seels and Richy (1994, p.46). This domain is important because it addresses the organization and management of all resources and processes for the purpose of achieving the learning goals. During the utilization and/or implementation process the designer prepares learners for interacting with the selected materials and activities, as well as providing direction during the learning process. The success of a well-designed instruction is defined by how well it has been implemented within the organization. Utilization and/or implementation, then, is the process of installing the project into real world settings. Instructional designers must manage the instructional environment and look for situations that can arise that could prevent the instruction from being implemented as designed. Designers must take a proactive approach during this phase to ensure for success. Specific strategies need to be implemented in order to integrate the new information or innovation into the system in order for change in an organization to be successful. The four subcategories of the domain of utilization are:

  • Media Utilization
  • Diffusion of Innovation
  • Implementation and Institutionalization
  • Policies and Regulations

Media Utilization

Media utilization is the orderly use of resources for learning in a system. During the analysis phase learner characteristics are identified and become the guiding force behind the selection and utilization of media and materials. Next, Instructional designers select the media to be used for instruction during the design and development phases. In the utilization phase the instructional designer is responsible for ensuring that the materials and equipment are available for use and properly functioning during implementation .

In 1982 Heinich, Molenda and Russell introduced the ASSURE model to guide designers in their media decsions making process. The ASSURE model is now a procedural guide to help plan for and implement the use of media in teaching. The model assists teachers in designing and developing the most appropriate learning environment for their students.

Diffusion of Innovations

In the 1960’s Everett M. Rodgers shared a different concept altogether, that of the Diffusion of Innovation, where the focus was on how communication impacts an environment and the spread of new ideas within a system. The attention turned away from the users’ perspective and focused on the provider’s perspective. Diffusion of Innovations is defined by Everett Rogers as the process by which an innovation is communicated through certain channels over time among the members of a social system. Rogers' definition contains four elements that are present in the diffusion of innovation process. The four main elements are: innovation, communication, time, and the social system. In his book, Rogers defines the diffusion process as one "which is the spread of a new idea from its source of invention or creation to its ultimate users or adopters" (1962). Rogers differentiates the adoption process from the diffusion process in that the diffusion process occurs within society, as a group process; whereas, the adoption process is pertains to an individual. Rogers defines "the adoption process as the mental process through which an individual passes from first hearing about an innovation to final adoption". Rogers breaks the adoption process down into five stages;

Awareness the individual is exposed to the innovation but lacks all the information about it

Interest

the individual becomes interested in the new idea and looks into finding out more information
Evaluation the individual applies the innovation to their present and perhaps future situation and thus decides to try it or not
Trial individual makes full use of the innovation
Adoption the individual decides to continue using the new idea or innovation

On the basis of the rate of adoption Rodger's defines innovators as individuals who jump on board at the beginning of a change in the system; early adopters as opinion leaders who watch the change taking place with a positive attitude; early majority as individuals who deliberate before completely adopting the proposed change; late majority as skeptics who generally wait to try something new until they’ve seen others do something for long enough they feel its safe, and lastly the laggards as individuals who resist the change in the system.

Communication is a key issue in the diffusion of innovation process. In order for people to become aware of the innovation, messages must be sent through proper channels. The communication strategies used have to be appropriate for the popple you wish to involve in the innovation and for the succcessful adoption of the change in the system. High quality communication will ensure the acceptance of a chnge by all menbers of an institution.

Implementation and Institutionalization

Implementation and institutionalization purpose is to integrate the innovation in the structure and life of the organization. Implementation is using instructional materials in real settings. The goal of the implementation is to permanently integrate the change into the structure of the organization. Once there is continued, routine use of the materials, strategy, or innovation, it is referred to as having been institutionalized (AECT, 2001).

Policies and Regulations

A systems policies and regulations must be taken into consideration when using technologies, designing instruction, or implementing a change. Areas to be considered are web-based instruction, instructional and community television, copyright law, standard for equipment and programs, and use policies (AECT, 2001). During the planning process, current rules and policies should be researched in order to determine if new policies are needed. Policies help to establish the rights and responsibilities of users, identify what may constitutes abuse of the resources, as well as define how procedures will be enforced.


backt to top