Domain of Utilization

Media Utilization ~ Diffusion of Innovations ~ Implementation and Institutionalization ~ Policies and Regulations ~ MIT Competencies

The utilization of audiovisual materials by individuals to provide instruction has occurred for decades. As the instructional technology field expanded, the desire for systematically designed instructional materials increased (Seels & Richey, 1994).  The utilization domain focuses on taking the designed and developed instructional materials and implementing them so that users can interact with the innovation. The implementation process is not automatic; instead instructional designers become change agents that facilitate the implementation and utilization process. 

According to Everett M. Rogers’s Diffusion of Innovations model, there are five stages to the innovation-decision process that happen during the adoption of a new innovation within an organization: knowledge, persuasion, decision, implementation, and confirmation (1995). During the knowledge stage, potential adopters learn about the different functions of the innovation. After potential adopters are knowledgeable of the innovation, the adopters form opinions about the innovation. Then the decision process begins and adopters complete activities to determine whether to reject or accept the innovation. Implementation of the innovation occurs after the acceptance of the innovation. Lastly, adopters confirm their decision, and if the innovation is accepted, then instructional designers will assist in the institutionalization of the innovation.

As change agents, instructional designers use the diffusion of innovation process to overcome barriers to change within an organization (Garland, 1991). Therefore, they are responsible for making sure that all of Rogers’ stages are successfully completed so that implementation and institutionalization occurs.  Utilization is pivotal because it deals with the how individuals use the instructional materials to learn. Therefore, instructional designers engage in four focus areas within the utilization domain to ensure effective use: media utilization, diffusion of innovations, implementation and institutionalization, and policies and regulations.

BACK TO TOP

Media Utilization

Media utilization refers to the actual use of the different resources or media that instructional designers have systematically selected to ensure that learning occurs during instruction (Seels & Richey, 1994). According to Seels and Richey (1994), “The media utilization process is a decision-making process based on instructional design specifications” (p.46). During the instructional systems design and development process, instructional designers determine what media will be used on the basis of other information collected during analysis, such as learner characteristics, the context in which media will be used to provide instruction, and the content of instruction.

            After selecting the appropriate media, instructional designers make sure that the resources, equipment, and tools are available and working properly during the implementation process. Also, if the instruction is going to be taught by someone other than the instructional designer; then the instructors are taught how to utilize the media to ensure they know how to teach the learners using the specified resources. Lastly, after instruction has taken place, instructional designers can then reevaluate whether or not the media chosen did help the learners meet the instructional objectives.

BACK TO TOP

Diffusion of Innovations

Diffusion of innovations is the process of communicating information to bring about change within an organization. Innovations can be many things, such as: ideas, methods, technologies or other types of media that is unfamiliar to an individual. To successfully diffuse an innovation, instructional designers take on the role of change agent to raise awareness, increase interest, and provide for a trial situation. It is through the successful communication of information that the innovation eventually gets adopted within an organization.

Awareness activities help instructional designers discover and categorize individuals within an organization based on their rate of adoption. Awareness activities are designed to encourage people to participate. According to Everett M. Rogers’s Diffusion of Innovation theory (1995), there are five characteristics that affect rate of adoption: relative advantage, compatibility, complexity, trialability, and observability. If the innovation is better than the existing system or the innovation is compatible with current practices; then individuals are more willing to adopt the innovation, especially if the innovation is relatively simple to use.  The ability to utilize, try-out, or even observe others using the innovation are also positively correlated with the adoption of an innovation.

Interest is the second part of the diffusion process. According to Roger’s Diffusion of Innovation theory (1995), there are five types of adopters: innovators, early adopters, early majority, late majority, and laggards. The rate of adoption is reliant on the prior knowledge and attitudes each individual possesses about change. Individuals are categorized by their rate of adoption, but instructional designers utilize strategies to address each group of adopters. Innovators and early adopters are responsible for spreading positive or negative opinions about the innovation. Therefore, the innovators and early adopters are responsible for communicating their opinions to the early majority to ensure that the diffusion process begins. It is through the communication of innovators and early adopters that the early majority accept the change from the influence of others that support the implementation of the innovation. As a result, the final two groups of adopters, the late majority and the laggards, eventually accept or reject the implementation of the innovation based on the influence of all the previous adopters. 

Roger's Bell Curve of Potential Adopters
Roger’s Bell Curve of Potential Adopters (adapted from E. M. Rogers, 1995)

BACK TO TOP

Implementation and Institutionalization

Implementation is the installation phase of the instructional design process. During the installation phase, designers take the instructional materials that have been developed and implement them into a real-world context. The planning for implementation requires instructional designers to consider the level of individual and organizational change that is going to occur. Managing the level of change that happens within an organization is heavily dependent on properly planning for the full-scale implementation of the innovation.  The most important part of implementation planning is the drafting of a realistic budget. The budget takes into consideration all expenses of the implementation process including: staff development, scheduling training locations and training times, equipment rental, etc.

After implementation has been properly completed within an organization, the process of institutionalization begins. Institutionalization refers to the continued use of an innovation within an organization. Institutionalization also encompasses the integration of the innovation within the structure and culture of the organization (Seels & Richey, 1994). It is through the process of institutionalization that the innovation integrates itself into the routine policies and procedures within an organization. 

BACK TO TOP

Policies and Regulations

The diffusion and utilization of instructional technology is governed by societal policies and regulations (Seels & Richey, 1994). The act of regulating instructional technology effects how professionals conduct business within the field of instructional technology. Policies and regulations within an organization are instrumental when implementing and utilizing instructional technologies. As an instructional designer, reviewing existing policies and regulations is necessary to determine if changes need to happen to assist the utilization practices within the organization. During the instructional design process, needs analyses can sometimes determine that existing polices or regulations need to be revised. But, instructional designers may find that organizations/clients are not always willing to alter their policies as needed; in these situations, policies and regulations serve as a barrier or constraint to the instructional design process.

Policies and regulations can also be external from the organization. Copyright laws and standards for accessible design are two examples of policies and regulations that may already be incorporated into organization policies, but are also mandated by a larger governing body.

BACK TO TOP

Printer-Friendly Version